Systemoptimierung und BoM-Reduktion

Systemoptimierung und BoM-Reduktion

Lukrativer entwickeln

Ein entscheidender Faktor, um laufende Entwicklungskosten zu senken, ist die Implementierung mit engerer Systemintegration. Dazu zählt auch die Integration auf der Komponentenebene. Ein typisches eingebettetes System enthält heute zahlreiche Funktionsträge, wie Prozessoren, digitale Logikschaltungen, Schnittstellern, flüchtige und nichtflüchtige Speicher, Sensoren, außerdem Support-Elemente wie Taktgeber. Ein höherer Integrationsgrad reduziert die Systemkomplexität und damit auch die Kosten für die Leiterplatten und deren Bestückung. 

 (Bild: Xilinx)

(Bild: Xilinx)

Embedded-Systeme für existierende und neu entstehende Anwendungen durchlaufen derzeit eine Phase rapiden Wachstums. Analysten gehen davon aus, dass der Markt für eingebettete Systeme bis 2021 ein Volumen von 225 Mrd. Dollar erreichen wird. Dieses Wachstum wird getragen von der kontinuierlichen Fortschreibung wichtiger industrieller Megatrends wie IoT, IIoT, Industrie 4.0 und cyberphysikalische Systeme. Hinzu kommt, dass sich Vision-gestützte Systeme vermehrt an der Edge etablieren. Viele dieser Applikationen erfordern dazu den Einsatz von High-Performance Systemen. Bei Applikationen, die eine große Zahl von Standorten und Einrichtungen umfassen, sind die laufenden Entwicklungskosten der Lösung von kritischer Bedeutung. Sie bestimmen deren Wirtschaftlichkeit. Einer der entscheidenden Faktoren zur Reduktion der laufenden Entwicklungskosten ist die Implementierung mit engerer Systemintegration.

Applikationsanforderungen

All Programmable Bausteine wie FPGAs (Field Programmable Gate Arrays) und heterogene SoCs (System on Chip) aus dem Xilinx-Portfolio, das die Systemkosten im Blick behält, bieten nicht nur die geforderte Performance. Sie ermöglichen auch die Realisierung der angestrebten engeren Systemintegration. Die Bausteine sind auf unterschiedlichen Applikationsanforderungen zugeschnitten:

• I/O-Optimierung – bereitgestellt von der Spartan-6- und Spartan-7-Familie

• Transceiveroptimierung – bereitgestellt von den Artix-Familie mit Gigabit-Transceivern

• Systemoptimierung – bereitgestellt von den Zynq-7000 SoC Dual-Core Cortex-A9- und den Single-Core Cortex-A9-Familien.

Das Xilinx-Portfolio deckt zahlreiche unterschiedliche Applikationen ab: vom Cloud Computing und der Bildbearbeitung bis zur Sensor-Fusion, präzisen Steuerungen sowie den Safety- und Securitybereich (Bild 1). Mit dem Einsatz dieser Baustein-Suite lassen sich wesentlich höher integrierte Systeme realisieren, insbesondere in Bezug auf:

• Prozessorintegration – durch die Kombination von Prozessor und digitaler Logik in demselben Baustein. Dies lässt sich erreichen durch den Einsatz des 32Bit MicroBlaze Softcore-Prozessors oder der Zynq-7000 Cortex-A9-Kerne.

• Mixed-Signal Integration – durch die Nutzung der analogen Fähigkeiten der Bausteine der Serie 7. Der Analog/Mixed-Signal Modul XADC umfasst duale ADCs mit 1 MSample/s, die das interne Multiplexen von 17 differenziellen Eingängen ermöglichen. Für DAC-Funktionen kann die Pulsbreitenmodulation eingesetzt werden. Bei höheren Samplingraten lassen sich auch Delta-Sigma-Verfahren implementieren, unter Nutzung der differenziellen Fähigkeiten der I/O-Zellen.

• Schnittstellenvereinfachung – Mit der programmierbaren Logik lässt sich, wegen des breiten Bereichs der direkt von den I/O-Strukturen unterstützten Standards, ein universelles Any-to-Any Interface implementieren. In Verbindung mit der Implementierung von Protokollfunktionen in der programmierbaren Logik erlaubt dies die einfache Konfigurierung von Standard-, Legacy- und maßgeschneiderten Schnittstellen. Diese Flexibilität ermöglicht auch eine Verringerung der erforderlichen diskreten PHY-Bausteine, wie HDMI oder MIPI DPHY.

• Vereinfachung der Speicherarchitektur – Mit einem einheitlichen Ansatz wird es möglich, die Anwendungssoftware und den FPGA-Bitstream innerhalb desselben nichtflüchtigen Speichers abzulegen. Flüchtige Speicher wie DDR lassen sich vom Prozessor und der FPGA-Applikation gemeinsam nutzen.

• Vereinfachte Taktgeberarchitektur – Die Integration in nur einem Baustein umgeht die Notwendigkeit getrennter Oszillatoren für die digitale Logik und die Prozessoren. Dabei können Clock Management Tiles, PLLs (Phase-Locked Loop) und MMCMs (Mixed-Mode Clock Manager) eingesetzt werden, um zusätzliche Systemtakte zu erzeugen und den agilen Abgleich von Frequenz und Phase zu ermöglichen.

Die Entwicklung derartig integrierter Applikationen kann auch die breite Vielfalt von IP-Kernen nutzen, die in der Vivado-Bibliothek zur Verfügung stehen. Außerdem kann man in Applikationen mit algorithmischer und Bild/Signalverarbeitung auch die High-Level Synthese nutzen, um die Funktionalität über High-Level Sprachen wie C oder C++ zu definieren. Das verkürzt die Gesamtentwicklungszeit.

Verteilte Architektur füt IIoT- und cyberphysilaktische Systeme (Bild: Xilinx)

 (Bild: Xilinx)

Integrierte Architektur mit Microblaze (Bild: Xilinx)

Beispiel für die Integration

IIoT- und cyberphysikalische Systeme arbeiten oft mit Embedded Vision Systemen, Motor- oder Aktuatorsteuerungen, sie benötigen drahtgebundene oder drahtlose Interkonnektivität, und sie verwenden Standort- und Umweltsensoren. Traditionelle, nichtintegrierte Lösungen würden hier Prozessoren einsetzen, um die Entscheidungsfindung auf der höheren Ebene und die Kommunikationsfunktionen zu absolvieren, und außerdem FPGAs zur Realisierung der Sensor-Schnittstellen, der Bildbearbeitungspipeline und der benötigten Motor- oder Aktuator-Steuerungen. Eine solche Lösung bedingt, neben der höheren Anzahl der einzusetzenden Komponenten, eine wesentlich kompliziertere Architektur zur Takt- und Leistungsversorgung. Das trägt wesentlich zu den laufenden Entwicklungskosten bei.

Eine integrierte und zugleich kostenoptimierte Lösung hingegen lässt sich, abhängig von den jeweiligen Verarbeitungs- und Performanceanforderungen, durch den Einsatz eines Spartan-7-, Artix-7- oder Zynq-7000-Bausteins implementieren. Wählt man einen Spartan-7- oder Artix-7-Baustein, erstellt man eine solche integrierte Lösung durch die Implementierung eines MicroBlaze Prozessors innerhalb des FPGAs. Die Microblaze Instanziierungen sind in breiten Performance-Bereichen für die jeweils vorliegende Applikation kundenspezifisch auslegbar. Wenn der Microblaze-Kern für maximale Performance ausgelegt wird, erzielt er 262 DMIPS bei 181MHz im Artix-7, bzw. 228 DMIPS mit dem Spartan-7. Durch den Einsatz des Speicherinterfacegenerators lassen sich der Microblaze und die Logik auch mit nichtflüchtigen DDR-Speichern verwenden. Und mit QSPI oder traditionellen parallelen Flash-Speichern kann man nicht nur den FPGA-Konfigurations-Stream, sondern auch die Applikations-Software implementieren Der interne XADC dient dabei als Schnittstelle mit den Umweltsensoren, wobei sein interner Multiplexer die Fähigkeit zum zyklischen Umschalten zwischen den Eingangssensoren bereitstellt. Da der XADC duale ADCs enthält, kann man mehrere Eingangssignale simultan wandeln, was den Sensordurchsatz wesentlich erhöht. Ein Receiver zur Unterstützung eines MIPI DPhy mit Datenraten bis zu 800Mb/s lässt sich implementieren, indem man zusätzliche I/O-Zellen und ein externes Widerstandsnetzwerk einsetzt.

Das ermöglicht die Dekodierung von Bildsensordaten mithilfe des CSI-2 Receiver-Subsystem IP-Kerns, und deren Verarbeitung im Bildbearbeitungskern. Der Bildbearbeitungskern kann die Stärken der High-Level Synthese in Gestalt von Vivado HLS nutzen und auch die xfOpenCV Bibliotheken einsetzen, die als Teil des reVision Acceleration Stack verfügbar sind. Falls eine anspruchsvollere, also rechenintensive Lösung gefordert ist, kann ein Single- oder Dual-Core Zynq-Baustein eingesetzt werden, der bei 1GHz bis zu 2500 DMIPS liefert.

Das Zynq SoC ermöglicht darüber hinaus eine noch bessere Systemintegration mit Unterstützung einer Reihe von High-Performance Schnittstellen nach Industriestandards wie GigE, USB2 oder PCIe, neben den gebräuchlichen Embedded-System Schnittstellen wie UART, CAN, SPI oder I2C . Dabei können für eine SoC- oder die FPGA-basierte Lösung dieselben Entwicklungstools eingesetzt werden. Die im Zynq-Baustein realisierte enge Integration des Prozessorsystems mit der programmierbaren Logik sorgt in Verbindung mit Acceleration Stacks wie reVision für eine recht einfache Beschleunigung von OpenCV- und Machine-Learning-Applikationen.

Any-to-Any Mobile Industry Processor Interface (MIPI) (Bild: Xilinx)

Schlussfolgerung

Einer der Schlüsselfaktoren bei der Implementierung von kostengünstigen Lösungen ist die engere Integration. Dadurch verringern sich die laufenden Entwicklungskosten. Der Einsatz von All Programmable FPGAs oder von heterogenen SoCs aus dem Xilinx-Portfolio ermöglicht somit ein hohes Maß an Systemintegration. Die Bausteine sind so ausgelegt, dass sie unterschiedliche Anforderungen in Bezug auf I/O und Rechenleistung erfüllen. Entsprechende Entwicklungstools, Bibliotheken und Stacks helfen bei der Abkürzung der Entwicklungszeit für derartige hoch integrierte Lösungen.

Autoren: Giles Peckham,
Adam Taylor,
Xilinx GmbH
www.xilinx.com

Ausgabe:
Xilinx GmbH
www.xilinx.com

Das könnte Sie auch Interessieren